学位論文要旨



No 215629
著者(漢字) 森川,泰宏
著者(英字)
著者(カナ) モリカワ,ヤスヒロ
標題(和) 気相・表面反応制御に基づくドライエッチング技術に関する研究
標題(洋)
報告番号 215629
報告番号 乙15629
学位授与日 2003.03.12
学位種別 論文博士
学位種類 博士(工学)
学位記番号 第15629号
研究科 工学系研究科
専攻 マテリアル工学専攻
論文審査委員 主査: 東京大学 教授 堀池,靖浩
 東京大学 教授 吉田,豊信
 東京大学 教授 鳥海,明
 東京大学 助教授 霜垣,幸浩
 東京大学 教授 吉田,善章
内容要旨 要旨を表示する

 超大規模集積回路の高集積化、多層化における配線孔・溝作成のためのドライエッチング技術は100nm以下の超微細化・高アスペクト比化の要求が今後も益々高まる。そして単なる微細化・多層化だけではなく層間絶縁膜の低誘電率(Low-k)化に伴うエッチング材料の多様化に対応した気相研究が重要である。またドライエッチングにおける表面反応層厚は1〜3nm以下と非常に薄い。従ってエッチング反応機構を解明する為には表面反応層の詳細な検討も不可欠である。

 フッ素(F)原子・分子と/水素終端Si(111)表面反応の研究について最初に、XeF2を用いたF原子/Si表面反応初期過程に着目し、XeF2のラングミュアー曝露を少量ずつ繰り返し行い、その反応表面をXPSとFTIR-ATRにて「その場」観察を行った。ATR測定結果からは、SiHに起因する2083.7cm-1(B1)ピークの減少と同時に、2087cm-1(B2)、2090cm-1(B3)にそれぞれ新たなピークが確認された。B2、B3ともにp偏光のみ観察され、B1+B2+B3の総面積はF曝露1300Lまで殆ど減少しないことから、B2、B3ともにFの影響を受けたSi(111)テラス上に5度以内の傾斜で垂直に立つSi-H結合であることが判明した。XPS測定結果からは、F反応初期ではF原子がSiのサブサーフェイスに侵入することを計算結果の一致によって明らかにした。その侵入Fは、F-として5配位構造を持つモデルを提起した。定量分析の結果、Siと結合したFに加えて未結合Fの存在(F-)を明らかにした。その後の高F曝露領域ではさらに反応が進み、最終的には「Tree構造」と呼ばれる、SiFx(x=1-3)からなるフッ化層を形成すると推察した。F原子の高い反応性により、自己停止反応は困難であることが分かった。次に、F原子よりも反応性の低いF2を用いて同じ反応を試みた。ガスとしてはF2(5%)/Heを用いた。F2曝露初期でF曝露時と同様にB2、B3のピークを検出した。さらに、曝露を重ねていき最終的に表面Si-H結合は105Lで消滅することが分かった。XPS観察結果から、Si-H結合の消滅する105LにおいてもSiF2やSiF3が形成されず、SiFのみが形成される領域が105L〜107Lの領域に至っていた。X線光電子回折(XPD)測定とその計算を行ったところ、Si表面がFで終端されていることに起因することを世界で初めて発見した(図1)。105〜107Lの曝露量でSi表面がSi-F結合を保つことから、この領域でF/Si自己停止反応が起こっていることを世界で初めて明らかにした。次に、イオン照射によるF化Si層の除去の可能性を見極めるため、F原子終端Si(111)表面へ低エネルギーAr+イオン照射を行い、SiF結合除去エネルギーのしきい値を求めた結果、SiF結合の除去が始まるイオンエネルギーの下限値が約18eVであることを明らかにした。しかしながら、照射イオンのエネルギーを22eVにしたときのSiF結合の脱離量はたかだか約0.2分子層であった。このことはSiF層自体、非常に安定であり、低エネルギーのイオン照射によりこのSiF層を完全に除去することは難しいことが判明した。F原子終端Si(111)表面を純水に浸すことで表面が薄い酸化膜を形成し、その酸化膜はサブオキサイドの少ない平坦な界面を持つF含有極薄酸化膜が得られていることが判明した。この膜を1.5%HF溶液処理することで再びH終端Si(111)表面が得られたことから、概ね2原子層毎のディジタルエッチングに到達したと考えられる。

 塩素プラズマ中の負イオン検出と負イオンを用いたエッチング表面反応機構について研究した。正/負イオン照射表面はXPSその場観察にて観察した。ICP放電の下流領域にて質量分析した結果、正イオンは主にCl2+イオン、負イオンは主にCl-イオンで構成されていることが分かった。正バイアスエッチングにおける高速エッチング反応は電子流入で起こるのではなく、低エネルギーCl-照射下におけるSi表面とCl原子の反応に因る反応であり、Cl-イオンの高反応性を明らかにした。また、Cl-のエッチングも基本的にイオン誘起反応であることが分かった。XPS観察の結果、正/負イオン照射によって形成されるSi-Cl反応層はClの打ち込まれたミキシング層であることが分かった。そのミキシング層は、負バイアス時に比べ正バイアス時のほうが薄いことが実験値と計算から明らかにした。

 磁気中性線放電(NLD)プラズマを用いた1Pa以下の低圧・高密度プラズマを、エッチングプロセス技術として結び付ける開発を行った。NLDプラズマに最適なSiO2エッチングガス種を検討した。プラズマ中のイオン種測定結果から、C4F8はCF+イオンを主として構成しており、C3F8やHFE-1216のような直鎖系フロロカーボンガスは、SiO2の主たるエッチャントである、CF3+イオンを主として構成されていることが分かった。SiO2エッチング特性からは、C4F8プラズマはC3F8プラズマと比べて対レジスト選択比は高いが一方でマイクロローディング現象を引き起こす。HFE-1216はC3F8よりもエッチング速度は若干低いがCF3+イオンを主としたプラズマであった。NLDプラズマでは、C4F8のような環状構造を持つガス系よりも解離し易い構造を持つC3F8やHFE-1216のような直鎖系フロロカーボンガスの方が適していることが判明した。また、HFC-152aをエッチング添加ガス種として利用することを試みた(図2左図)。HFE-1216へのHFC-152a添加率〜44%までは、若千のエッチング速度低下はあるものの、微細な0.1μmφパターンまでマイクロローディングフリーで高選択比のエッチングを行うことができた。表面分析の結果、HFC-152aによって堆積するポリマーはエッチングパターン全体に一様に堆積し、その表面はH-C-F構造であることが判明した。さらにこのH-C-F膜は1)吸着性のポリマーの堆積を抑制する、2)反応種を効率的にパターン底部に輸送する役割を持った、水素によってパッシヴェイトされた膜であることが分かったとともに、低圧/電子温度、高密度NLDプラズマプロセスが独自の低圧エッチング機構を持つことを明らかにした。HFE-1216とHFC-152aの2種混合ガスプロセスに微量の酸素添加を行うことによって、孔直径50nm(図2右図)、溝幅40nm、エッチング深さ1.0μm、アスペクト比、18と22.5、の超微細パターンのエッチングプロセスを実現した。

 次世代低誘電率層間絶縁膜のNLDエッチングプロセス開発とその機構解明についての研究では最初に、100%有機Low-kのエッチングプロセスにおけるエッチングガス種としてO2、H2、N2、NH3等或いはその混合ガス系の使用を試みた結果、N2、H2、NH3といったN-Hを含むか形成されるガスが非常に有効であることが分かった。N2+H2プラズマ中のイオン種に着目し異方性形状形成のメカニズムを検討した結果、窒素混合比率が高いとき、イオン種としてN2H+がピークを持ち、最もマイクロローディングが少なく且つ異方性形状が得られることが判明した。その他のイオン種として、N+、N2+も強いイオン電流強度を示した。マイクロローディングの大きいH2混合比60〜100%の条件下では、気相中にN2H+、N+、N2+は殆ど無く、NHx+とH+、H2+が確認されるのみであることが分かった。異方性形状にはN2H+、N+、N2+のイオン種が重要な役割を持つことが判明し、線幅60nmの超微細エッチングを実現した。窒素混合比率が高いエッチング条件でのXPS表面分析の結果、異方性形状時における窒素はC-Nsp3結合で、等方的形状はC-Nsp2結合状態であることが判明した。エッチング表面でのC-N結合形成過程はイオン衝撃の弱い場合は異方性条件でもエッチ表面はC-Nsp2であり、イオン衝撃が強くなることで始めて表面にC-Nsp3が形成されることを明らかにした。これらの結果から、イオン衝撃よって形成されたC-Nsp3がパターン側壁に付着しその安定化したsp3結合を持つ保護膜によって異方性エッチングが実現しているとするモデルを考えた。有機膜のディープエッチングではハードマスクの再付着によるピラー残渣が発生することが判明した。この問題解消にはさらにプロセスを低圧化させることが有効であり、0.067Paでプロセスを適用することによりハードマスク/有機膜構造の試料に対し平滑なエッチ表面が得られるプロセス開発に成功した。

 比誘電率が2.5以下の材料としてSiO2にCH3基が導入されたハイブリッドLow-k材のエッチングプロセス開発を行った。エッチング反応はSiO2に比べ複雑でエッチング時に発生するマイクロトレンチは単純なバイアスパワー調整だけでは完全には除去できないことが分かった。この問題に対しN2添加によるエッチング表面の窒素反応効果を利用したエッチングプロセスを試みた結果、エッチング時に発生するマイクロトレンチの除去に低圧下において成功した。XPSによる表面分析の結果、N2添加によってエッチ表面が数原子層レベルで僅かに改質されていることが判明した。この改質層がマイクロトレンチを抑制していると考えられる。膜表面が改質されることによる膜ダメージの問題が懸念されたが、N2添加プロセスはむしろ低ダメージの傾向を得、プラズマからの保護膜として膜ダメージの抑制にも繋がることが判明した。

 比誘電率k=2.0以下の超低比誘電率膜として、多孔質膜Low-kエッチングプロセス開発を行った。多孔質材料は、特にポアサイズが1〜3nm領域のメソポーラスと呼ばれる多孔質材料はポア自身が吸着サイトとなり選択的に粒子を吸着が起こり、SiO2に比ベガス種による影響を非常に強く受けることが判明した(図3左図)。本研究ではこの現象を表面変性層反応性イオンエッチング(Surfactant layer Reactive Ion Etching)と定義し、エッチング速度の遠いC3F8ガスを用いて線幅100nmの超微細エッチングに成功した。C3F8の代替ガスとしてヨウ素が含有する2-C3F7Iガスを新規エッチングガスとして検討し、レジストのエッチング速度が約半分となる結果を得た。負イオン測定の結果、F-イオン強度が非常に弱く、IFx-イオン強度が強く検出され、ヨウ素によって気相中の過剰F原子がIFxとして排気除去され、レジストエッチング速度の低下を引き起こしたと考えられる。低膜密度多孔質Low-kの場合、Fによる膜ダメージは深刻な問題になる為、2-C3F7Iは非常に有用なエッチングガスであると考えられ、次世代の多孔質材料エッチングガスへの指針を示すことができた。

図1 光電子脱出角度に対するSiF/Si bulk及びF1s/Si bulk比とシミュレーション結果

図2 熱SiO2、poly-Si、i線レジストのエッチング速度とSiO2/poly-Si、SiO2/resistの各選択比のHFE-1216とHFC-152aの混合比依存性(左図)結果から得た超微細孔エッチング形状(右図)

図3 CF4、C3F8、C4F8、C4F6の各単ガスにおけるポーラスシリカ及びSiO2、レジストの各エッチング特性(左図)と、超微細溝エッチング形状(右図)

審査要旨 要旨を表示する

 ULSI(超大規模集積回路)の高集積化、多層配線化が進む中、本プロセスの主要な役割を担うドライエッチング技術には、配線孔・溝の超微細・高アスペクト比化の形成のみならず、層間絶縁膜の低誘電率(Low-k)化に伴うエッチング材料の多様化に応じた研究が必至となっている。ドライエッチングを一層発展させて行くには、反応性プラズマの生成と制御、及び表面反応を一括したエッチング機構の解明が不可欠である。本論文は、原子層デジタルエッチングを実現するためのフッ素(F)/Si表面反応の研究、及びそこで得られた定量的な表面観察法を駆使し、エッチング表面と気相イオン種との関係を基にした反応機構を考察することによりドライエッチング技術の研究を行ったものである。本論文は6章からなる。

 第1章は緒言でありこれまでのドライエッチング技術、装置における課題について述べ、次世代ドライエッチングに要求される新技術の必要性を述べている。また、本研究の目的と学術的位置づけ、新規性と他研究に及ぼした影響について述べている。

 第2章では、F原子・分子/水素終端Si(111)表面反応のXPS(X線光電子分光)とFTIR(フーリエ変換赤外分光)-ATR(減衰全反射)結合システムを用いた「その場」観察の研究を行っている。F原子をSi表面曝露した初期反応過程では、SiH(2083.7cm-1:B1)ピークの減少と同時に、新たにB2:2087cm-1、B3:2090cm-1ピークが出現することを発見している。このB2とB3ピークは、F原子の影響を受けたSi-H結合に起因することを明らかにし、XPS定量分析によりF原子がSi表面に侵入し、Siと結合したFと未結合のFがF-として5配位構造を持つモデルを提起した。しかし、高濃度F原子の曝露領域では自己停止反応が困難であり、低反応性のF2分子の曝露を思い付いた。その結果、5%F2/He曝露初期ではF曝露時と同様にB2、B3ピークを検出し、F2の反応もSi(111)の最表面で起こることを示した。更に、表面Si-H結合が消滅する105〜107L領域において、最表面がSi-Fのみの単分子層が形成される自己停止反応を発見した。また、低エネルギAr+イオン照射によるSiF層除去の可能性を調べ、SiF結合除去エネルギの閾値下限が約18eVであることを明らかにしたが、完全な除去が難しいことも示し、Si-F表面を純水浸漬し表面に薄い酸化膜を形成させ、その後1.5%HF溶液浸漬によって2原子層毎のデジタルエッチングを可能にした。

 第3章では、塩素プラズマ中の負イオン検出と負イオンを用いたエッチング表面反応機構の研究について述べている。ICP放電の下流領域における質量分析で、正イオンは主にCl2+イオン、負イオンは主にCl-イオンで構成されており、正バイアス時での高速エッチング反応は電子流入で起こるのではなく、低エネルギCl-照射下におけるSi表面とCl原子の反応に起因する反応であり、Cl-イオンの高反応性を明らかにしている。また、Cl-のエッチングも基本的にイオン誘起反応であることを示した。XPS「その場」観察からは、正/負イオン照射で形成される反応層はClの打ち込まれた混合層であり、正バイアス時のほうが薄い反応層を形成することを実験値と計算から明らかにし、負イオンにおける低損傷エッチングを証明している。

 第4章では、磁気中性線放電(NLD)プラズマをSiO2高アスペクト比コンタクトホールエッチングヘ応用する研究について述べている。NLを外磁場により制御して高い均一性を得る時空間制御法を見出した。またHFE-1216への添加ガス種としてHFC-152aを用いた結果、HFC-152a添加率が約44%の範囲でマイクロローディングの無い高選択比エッチングを達成している。表面分析の結果、その表面はH-C-F構造であることを明らかにした。更に、ここで得られる順・逆マイクロローディング現象機構が独自の低圧NLDエッチング機構に起因するものであると議論している。

 第5章は、同じくNLDを次世代Low-k膜のエッチングに応用した研究である。N2+H2プラズマにおける有機Low-kエッチングでは、N2比率が高い時にマイクロローディングが少ない異方性形状が得られることを示し、異方性形状にはN2H+、N+、N2+のイオン種が重要な役割を持つことを示した。k=2.5以下のハイブリッド材料のエッチング研究では、N2添加によりマイクロトレンチが除去されることを見出した。k=2.0以下の多孔質材料のエッチング研究では、空孔と粒子との相互作用のため、SiO2に比ベガス種による影響を強く受けることを実験的に示し、多孔質材料は独特のエッチング機構を有することを明らかにした。また2-C3F7Iガスを新規に検討している。負イオン測定の結果、F-イオン強度は非常に弱いが、IFx-イオン強度は強く検出され、選択比の高い2-C3F7IはC3F8の代替ガスとして非常に有用なエッチングガスであることを示した。

 第6章は総括である。

 以上要するに、本論文は、F原子・分子/水素終端Si(111)の表面反応の定量的な研究に端を発し、本測定法を正・負イオン種の検出とその照射表面の反応層観察に応用することで高い精度の表面分析と解釈を可能にした。そして本測定法を駆使して、loW-k膜の超微細・高アスペクト比孔・溝の加工研究に適用し、気相と表面分析を基盤にプラズマとプロセス条件との相関関係を考察し、エッチングを高精度に制御するための先端ドライエッチング技術を提案、研究をしていることから、材料加工学への貢献が大きい。

 よって本論文は博士(工学)の学位請求論文として合格と認められる。

UTokyo Repositoryリンク